博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
verilog random使用
阅读量:4323 次
发布时间:2019-06-06

本文共 429 字,大约阅读时间需要 1 分钟。

“$random函数调用时返回一个32位的随机数,它是一个带符号的整形数...”,并给出了一个例子:
_____________________________________________________
EX-1:
 
   reg[23:0] rand;
   rand=$random % 60; //产生一个在 -59—59范围的随机数
—————————————————————————
 
又给出了一个产生0~59之间的随机数的例子:
   reg[23:0] rand;
   rand={$random} % 60; //通过位拼接操作{}产生0—59范围的随机数
—————————————————————————
 
产生一个在min, max之间随机数的例子:
EX-3:
  reg[23:0] rand;
  rand = min+{$random}%(max-min+1);
 

转载于:https://www.cnblogs.com/chip/p/4935971.html

你可能感兴趣的文章
用MATLAB同时作多幅图
查看>>
python中map的排序以及取出map中取最大最小值
查看>>
ROR 第一章 从零到部署--第一个程序
查看>>
<form>标签
查看>>
vue去掉地址栏# 方法
查看>>
Lambda03 方法引用、类型判断、变量引用
查看>>
was集群下基于接口分布式架构和开发经验谈
查看>>
MySQL学习——MySQL数据库概述与基础
查看>>
ES索引模板
查看>>
HDU2112 HDU Today 最短路+字符串哈希
查看>>
JPanel重绘
查看>>
图片放大器——wpf
查看>>
SCALA STEP BY STEP
查看>>
cocos2d-x学习笔记
查看>>
MySql中的变量定义
查看>>
Ruby数组的操作
查看>>
hdu1181暴搜
查看>>
解码字符串 Decode String
查看>>
json学习笔记
查看>>
工具:linux 性能监控工具-nmon
查看>>